point
Menu
Magazines
Browse by year:
February - 2004 - issue > Feature: Silicon Horizon
Low Cost Nanolithography
Dr. Sreenivasan
Tuesday, July 8, 2008
Microlithography generically refers to processes that are used to create micron or sub-micron structures for fabricating various kinds of devices including integrated circuits, bio-chips, MEMS and optical components. The ability to create smaller and smaller structures has historically led to faster transistors, increased functionality and lower costs. For example, in 1987 a Cray I computer cost several million dollars and required 60Kwatts of power. Today a high-end chip draws only a few watts and performs 5X as many additions per sec, while only costing a few hundred dollars! This remarkable progress has been made possible by ongoing developments in photolithography. Photolithography can be thought of as a high-end projection camera that can project the details of a circuit layer from a photomask to a photosensitive material on the wafer. While it can take a few hours to write the photomask using a slow, serial process, photolithography allows for rapid parallel transfer of millions of pixels of data from the photomask to the wafer in less than a second.

Using progressively shorter exposure wavelengths along with increased complexity in photomask design has led to the reduction of the minimum feature size in photolithography. Leading edge photolithography is now operating at a wavelength of l = 193 nm, and can pattern structures at the 90 nm node†. Concurrently, l = 157 nm followed by extreme ultraviolet lithography (EUV, l = 13.2 nm) are being researched to succeed 193 nm optical lithography. This continuous reduction is wavelength combined with highly sophisticated designs of lenses and mirrors, design of advanced and complex masks, innovation in materials, processes, and precision machines will probably enable sub-70nm lithography, and may even enable sub-50 nm lithography. However, with shorter wavelengths, there are long lists of new and substantial technical challenges that lead to very expensive R&D programs and extremely high tool and mask costs.

It is not physical limits, but prohibitive costs that are likely to make the traditional approach of decreased wavelength impractical. Historically, the cost of optical exposure tools has increasing exponentially with the cost of a single state-of-the-art 193 tool approaching $20M. This trend is projected to continue with a single tool costing more than $50M for sub-50 nm lithography. In addition to the cost of the tool, the recurring and consumable costs associated with process materials, environmental control, complicated photomasks, etc. make next generation lithography technologies a high-risk proposition. The only way to recover these costs is to have high throughputs; long tool lives; long photomask lives; and excellent process control.

The S-FIL Technology
The unique physical and chemical phenomena at the nanoscale can lead to novel devices that potentially have significant practical value. Emerging nano-resolution applications include sub-wavelength optical components, biochemical analysis devices, high-speed compound semiconductor chips, distributed feedback lasers, photonic crystals, and high-density patterned magnetic media for storage. The escalating cost structure of photolithography clearly indicates that there is a need for low-cost alternatives to photolithography. Such a technique should not only allow sub-50 nm patterning capability, it should substantially retain the overall benefits of photolithography. This need motivated us to develop a non-optical, low-cost lithography technique known as Step and FlashTM Imprint Lithography (S-FILTM). The technology was first developed in the late 1990s at the University of Texas at Austin in a collaborative project supervised by the author and Prof. C. Grant Willson. It was later licensed to Molecular Imprints, Inc. for commercialization in 2001.

In the mid-1990s, several research groups in industry and academia started investigating "imprint lithography" methods for fabricating small features. Imprint lithography is essentially a micromolding process in which the topography of a template (mold) defines the patterns created on a substrate. Investigations by others and us in the sub-50 nm regime indicate that imprint lithography has almost unlimited resolution! To date, our patterning resolution of about 20 nm is only limited by the electron beam resolution of the template fabrication process (see Figure 1). We have also demonstrated the replication of multi-tiered 3D structures. Many of the other imprint methods, although very effective at printing small features across an entire wafer, do not address critical manufacturing issues such as process defect control, precise overlay of multiple device levels, and the ability to pattern structures with arbitrary pattern density variations.

The S-FIL process is specifically designed to address these problems (see Figure 2). The process uses ultra-low viscosity UV curable liquids that are used to fill the template to lead to a lithography process that operates at very low pressures (less than 0.25 psi). This leads to much longer template life and much lower process defects. (To date, we have been able to replicate about fifty 200 mm wafers without degradation in sub-50 nm features.) This also enables processing of fragile substrates such as GaAs and InP. The low viscosity liquid interface allows nano-scale gliding of the template with respect to the wafer allowing very precise in-situ overlay capability. It is believed that this can technique can be extended to obtain sub-10 nm alignment corrections. The use of a transparent fused silica template enables the photocuring process to occur and also allows for optical alignment of the wafer and template. The process also uses “drop-on-demand” fluid delivery (see Figure 2) that can be tailored to fabricate device geometries that have arbitrary pattern densities. As a result, S-FIL is a particularly suitable imprint technique for fulfilling the stringent requirements of volume fabrication of nano-scale devices. A commercial imprint lithography stepper (the first of its kind) developed by Molecular Imprints, Inc. is shown in Figure 3. Due to the absence of complicated optics, these steppers cost a fraction of high-end optical lithography tools, while allowing the patterning of much smaller features!

The S-FIL templates are made using the standard photomask fused silica substrates. The template is essentially a "phase mask" that is already used in advanced photolithography. It may seem that the photolithography mask has an inherent advantage over templates since a typical photolithography process uses a 4X reduction in its imaging. Therefore, masks are four times bigger than the eventual features on the wafer. This apparent advantage does not exist due to a recent trend in photolithography where the eventual features printed on the wafer are smaller than the wavelength of light. This requires the presence of "sub-resolution" features that are approaching 1X on the photomask. This trend actually leads to requiring 1X features in a 4X mask that is 16X the area of the template. This may actually lead to templates being cheaper than masks, which could be critical for production of low-volume ASICs.

Opportunities And Challenges
Lithography tools are sometimes referred to as the milling machines of the 21st century. They have revolutionized the electronics industry and are continuing to enable many applications at the micro- and nano-scales. The S-FIL process can cost-effectively fabricate sub-50 nm structures, complicated patterns and 3D structures, while providing precise overlay and low process defectivity. Such a technology will most likely address key market segments such as optical devices, micro-displays, and nano-scale electronics. The impact of this technology on mainstream silicon fabrication will probably be a direct function of how well a key manufacturing challenge can be overcome: minimizing long-term defects both in the S-FIL process and the template fabrication process to maximize yield. The future challenge is to develop and demonstrate an S-FIL processes that can approach the long-term yield and productivity of photolithography.

ACKNOWLEDGEMENTS
The author would like to acknowledge C. Grant Willson of the University of Texas at Austin (Co.-Founder of Molecular Imprints), Doug J. Resnick of Motorola Labs, the employees of Molecular Imprints and the graduate students that worked on the S-FIL project. This work was partially funded by the DARPA Advanced Lithography Program.

Dr. Sreenivasan is a co-founder and the Chief Technology Officer of Molecular Imprints, Inc. He is on leave of absence from the University of Texas at Austin where he is an Associate Professor of Mechanical Engineering and the Thornton Centennial Fellow in Engineering. He received his Ph.D. in Mechanical Engineering from The Ohio State University and specializes in the area of micro- and nano-scale fabrication and ultra-precision machine systems.


Twitter
Share on LinkedIn
facebook